7 Pages, 98 KB, Original
OCTAL TRANSPARENT D-TYPE LATCHES WITH 3-STATE OUTPUTS